VHDL coding tips and tricks: Vivado 2019.2 Beginners Video on how to Create a New Project and Simulate your Design

Monday, February 3, 2020

Vivado 2019.2 Beginners Video on how to Create a New Project and Simulate your Design

This is a simple How-To video for Xilinx Vivado 2019.2 version. If you have been already using software tool then you may not need to watch this video.

Previously I had done the same for Xilinx ISE version 14.6. You can check that out here.

In this video, I am trying to show you:
  1. How to create a new project.
  2. Add VHDL codes to it.
  3. Compile and simulate the codes.
  4. Verify the code is working, after analyzing the waveform.


No comments:

Post a Comment